Show simple item record

Fault de-interleaving for reliability in high-speed circuits

dc.creatorDick, Kevin Douglas
dc.date.accessioned2020-08-21T21:03:19Z
dc.date.available2011-03-08
dc.date.issued2011-03-08
dc.identifier.urihttps://etd.library.vanderbilt.edu/etd-02242011-141755
dc.identifier.urihttp://hdl.handle.net/1803/10615
dc.description.abstractThe majority of modeling and simulation of single event transients (SETs) and their effects is normally done at a transistor level, known as micro-modeling. These simulations give insight into how a transistor will behave when struck by SETs. The micro-modeling of single-particle effects in Integrated Circuit (IC) devices can simulate charge collection, charge deposition/generation and ionic interaction with the semiconductor material. Micro-modeling works very well on a small circuit, when there are a low number of transistors. However, modeling large circuits on a transistor level can be time- and cost-consuming. System-level modeling and simulation allow for large circuits to be simulated in less time and less cost. Under the correct conditions, the results of macro-modeling (system-level) can approximate the results of micro-modeling. This thesis focuses on the simulation of SETs that last longer than one clock cycle from a macro-modeling perspective. Simulating the SETs is done through the transient fault injection method. Transient fault injection is the method of injecting a fault on a certain node and observing what happens as it propagates through the circuit. It discusses the design and simulation of both a 4x4 serial full adder implemented multiplier and a 4x4 parallel full adder implemented multiplier. The burst error mitigation approaches used in communication theory are discussed and compared with the results of the multipliers. The results are compared for SETs of clock cycle lengths 1, 6 and 36 that are applied to all the nodes for a 16x16 serial full adder implemented multiplier and a 16x16 parallel full adder implemented multiplier. A frequency analysis is presented for the both multipliers with no SETs, a SET of length 6 and a SET of length 36.
dc.format.mimetypeapplication/pdf
dc.subjectde-interleaving
dc.subjectparallel multiplier
dc.subjectserial multiplier
dc.subjectSpurious-Free dynamic range
dc.subjectinterleaving
dc.titleFault de-interleaving for reliability in high-speed circuits
dc.typethesis
dc.contributor.committeeMemberWilliam H. Robinson
dc.contributor.committeeMemberJeffrey D. Black
dc.type.materialtext
thesis.degree.nameME
thesis.degree.levelthesis
thesis.degree.disciplineElectrical Engineering
thesis.degree.grantorVanderbilt University
local.embargo.terms2011-03-08
local.embargo.lift2011-03-08


Files in this item

Icon

This item appears in the following Collection(s)

Show simple item record